题目内容 (请给出正确答案)
[主观题]

设计一个3人智力竞赛抢答逻辑电路,具体要求如下: (1)每个参赛者控制一个按钮,用按动按钮发出抢答信号;(20分) 要求:完成相应电路原理图并设计成功(图片或视频等演示)即可得满分。 (2)竞赛主持人另有一个按钮,用于将电路复位;(10分) 要求: 完成相应电路原理图并设计成功(图片或视频等演示)即可得满分。 (3)竞赛开始后,最先按动按钮者将对应的一个发光二极管点亮,此后其他人再按动按钮对电路不起作用。 (50分) 要求: 设计平台不限,但需提交这一部分的总体设计思路(或流程图)、逻辑电路图,实物电路演示视频(或图片)等能够反映实验结果的证据。完成效果越佳得分越高。 (4)拓展部分:最先按动按钮者对应的发光二极管点亮的同时,同时伴有蜂鸣器的鸣笛声,鸣叫时间持续2s。 (20分) 要求: 完成此部分的同学请展示实物电路演示现场效果视频(即听到蜂鸣声),除完成主体设计外,同时完成此部分任务的同学重点考虑评优。

答案
正确
如搜索结果不匹配,请 联系老师 获取答案
您可能会需要:
您的账号:,可能会需要:
您的账号:
发送账号密码至手机
发送
更多“设计一个3人智力竞赛抢答逻辑电路,具体要求如下: (1)每个…”相关的问题

第1题

设计一个3人智力竞赛抢答逻辑电路,具体要求如下: (1)每个参赛者控制一个按钮,用按动按钮发出抢答信号;(20分) 要求:完成相应电路原理图或HDL程序描述并设计成功(仿真波形、图片或视频等演示)即可得满分。 (2)竞赛主持人另有一个按钮,用于将电路复位;(10分) 要求: 完成相应电路原理图或HDL程序描述并设计成功(仿真波形、图片或视频等演示)即可得满分。 (3)竞赛开始后,最先按动按钮者将对应的一个发光二极管点亮,此后其他人再按动按钮对电路不起作用。 (50分) 要求: 设计平台不限,但需提交这一部分的总体设计思路(或流程图)、逻辑电路图或HDL语言描述程序段,以及EDA平台仿真图片及分析,或实物电路演示视频(或图片)等能够反映实验结果的证据。完成效果越佳得分越高。 (4)拓展部分:最先按动按钮者对应的发光二极管点亮的同时,同时伴有蜂鸣器的鸣笛声,鸣叫时间持续2s。 (20分) 要求: 完成此部分的同学请展示实物电路演示现场效果视频(即听到蜂鸣声),除完成主体程序设计外,同时完成此
点击查看答案

第2题

设计电机正反转梯形图(正停反、正反停) 设计抢答器电路。 有3个抢答席和1个主持人席,每个抢答席上各有1个抢答按钮和一盏抢答指示灯。第一个按下抢答按钮的抢答席上的指示灯将会亮,另外两个抢答席上即使按抢答按钮,其指示灯也不会亮。该题抢答结束后,主持人按下主持席上的复位按钮,则指示灯熄灭。 I0.0 主持席上的复位按钮 Q0.0 抢答席1上的指示灯 I0.1 抢答席1上的抢答按钮 Q0.1 抢答席2上的指示灯 I0.2 抢答席2上的抢答按钮 Q0.2 抢答席3上的指示灯 I0.3 抢答席3上的抢答按钮
点击查看答案

第3题

设计一个抢答器,可供3个参赛队使用,设有3个抢答按钮,并且每组有1个指示灯,当该队抢答到时常亮。该队抢答后其他队抢答无效。主持人有1个开始抢答和1个复位按钮,只有当主持人按了抢答按钮后才可以抢答,主持人按了抢答按钮后10S内没人抢答,该题无效。当答题结束后,主持人按复位按钮指示灯灭,才能继续下一道题的抢答。
点击查看答案

第4题

设计一个抢答器,可供3个参赛队使用,设有3个抢答按钮,并且每组有1个指示灯,当该队抢答到时常亮。该队抢答后其他队抢答无效。主持人有1个开始抢答和1个复位按钮,只有当主持人按了抢答按钮后才可以抢答,主持人按了抢答按钮后10S内没人抢答,该题无效。当答题结束后,主持人按复位按钮指示灯灭,才能继续下一道题的抢答。 要求: 1.画出I/O分配表; 2.画出PLC外围接线图; 3.编写程序。
点击查看答案

第5题

设计题: 五人参加智力竞赛,其中有2名儿童,1名学生,2名成人,要求如下: 1、 两名儿童任何一个按下桌上按钮,1号灯亮; 2、 两名成人只有同时按下桌上按钮,2号灯亮; 3、 学生按下桌上按钮,则3号灯亮; 4、 参赛者中抢先按下桌上按钮,灯亮,而其余人按下无效; 主持人按下复位键后,才可抢答下一题。 要求:1. 列出I/O地址分配表;(10分) 2. 画出I/O硬件接线图;(9分) 3. 编写程序;(24分)
点击查看答案

第6题

1、设计一个三人抢答器,主持人按下抢答按钮,三名参赛选手可以抢答,但不能同时抢答,当主持人按下复位按钮后,可以重新开始抢答。用S7-200 PLC实现控制,画出I/O分配表,接线图,并编制梯形图程序。 2、实现A和B两台电机顺序控制。 要求:启动时A号电机先启动,3s后B号电机自行启动,停车时,要求B号电机先停车,2s后A号电机自动停车。用S7-200 PLC实现控制,画出I/O分配表,接线图,并编制 梯形图程序。
点击查看答案

第7题

一、数字显示 1、任务说明: 用三个“按钮”控制七段数码管分别显示三个不同数字:1、2、3。 按下数显按钮,可显示数字,按下复位按钮,所有数码管熄灭,显示效果被清除,这时可再次按数显按钮,显示数字。 2、I/O分配 输入 输出 显示1按钮 I0.0 a 管 Q0.0 显示2按钮 I0.1 b 管 Q0.1 显示3按钮 I0.2 c 管 Q0.2 复位按钮 I0.3 d 管 Q0.3 e 管 Q0.4 f 管 Q0.5 g 管 Q0.6 二、抢答器 1、任务说明: 设计一个三人抢答器,抢答器包含1个启停开关,1个复位按钮,3个抢答按钮,1盏运行指示灯,并采用7段数码管显示抢答组号:1、2、3。 启停开关闭合后,运行指示灯亮,选手方可开始抢答。最先抢答选手按下按钮后,对应组号灯点亮,其余抢答选手抢答无效。系统复位后,方可继续抢答。启停开关断开,系统停止。 2、I/O分配 输入 输出 1组选手按钮 I0.0 a 管 Q0.0 2组选手按钮 I0.1 b管 Q0.1 3组选手按钮 I0.2 c管
点击查看答案

第8题

一、数字显示 1、任务说明: 用三个“按钮”控制七段数码管分别显示三个不同数字:1、2、3。 按下数显按钮,可显示数字,按下复位按钮,所有数码管熄灭,显示效果被清除,这时可再次按数显按钮,显示数字。 2、I/O分配 输入 输出 显示1按钮 I0.0 a 管 Q0.0 显示2按钮 I0.1 b 管 Q0.1 显示3按钮 I0.2 c 管 Q0.2 复位按钮 I0.3 d 管 Q0.3 e 管 Q0.4 f 管 Q0.5 g 管 Q0.6 二、抢答器 1、任务说明: 设计一个三人抢答器,抢答器包含1个启停开关,1个复位按钮,3个抢答按钮,1盏运行指示灯,并采用7段数码管显示抢答组号:1、2、3。 启停开关闭合后,运行指示灯亮,选手方可开始抢答。最先抢答选手按下按钮后,对应组号灯点亮,其余抢答选手抢答无效。系统复位后,方可继续抢答。启停开关断开,系统停止。 2、I/O分配 输入 输出 1组选手按钮 I0.0 a 管 Q0.0 2组选手按钮 I0.1 b管 Q0.1 3组选手按钮 I0.2 c管
点击查看答案

第9题

一、数字显示 1、任务说明: 用三个“按钮”控制七段数码管分别显示三个不同数字:1、2、3。 按下数显按钮,可显示数字,按下复位按钮,所有数码管熄灭,显示效果被清除,这时可再次按数显按钮,显示数字。 2、I/O分配 输入 输出 显示1按钮 I0.0 a 管 Q0.0 显示2按钮 I0.1 b 管 Q0.1 显示3按钮 I0.2 c 管 Q0.2 复位按钮 I0.3 d 管 Q0.3 e 管 Q0.4 f 管 Q0.5 g 管 Q0.6 二、抢答器 1、任务说明: 设计一个三人抢答器,抢答器包含1个启停开关,1个复位按钮,3个抢答按钮,1盏运行指示灯,并采用7段数码管显示抢答组号:1、2、3。 启停开关闭合后,运行指示灯亮,选手方可开始抢答。最先抢答选手按下按钮后,对应组号灯点亮,其余抢答选手抢答无效。系统复位后,方可继续抢答。启停开关断开,系统停止。 2、I/O分配 输入 输出 1组选手按钮 I0.0 a 管 Q0.0 2组选手按钮 I0.1 b管 Q0.1 3组选手按钮 I0.2 c管
点击查看答案

第10题

【简答题】设计出能实现四人抢答器的PLC控制系统,画出梯形图。 控制要求:用PLC设计一个4人抢答器。图中X0-X3对应四个人的抢答按钮,y0-y3对应1号-4号LED灯。谁先抢到,谁对应的灯亮;一旦有人抢到,其他人均无法继续抢答。 当按下复位键全体复位初始化,重新进入第二轮。
点击查看答案
发送账号至手机
密码将被重置
获取验证码
发送
温馨提示
该问题答案仅针对搜题卡用户开放,请点击购买搜题卡。
马上购买搜题卡
我已购买搜题卡, 登录账号 继续查看答案
重置密码
确认修改
温馨提示
每个试题只能免费做一次,如需多次做题,请购买搜题卡
立即购买
稍后再说
警告:系统检测到您的账号存在安全风险

为了保护您的账号安全,请在“赏学吧”公众号进行验证,点击“官网服务”-“账号验证”后输入验证码“”完成验证,验证成功后方可继续查看答案!

微信搜一搜
赏学吧
点击打开微信
警告:系统检测到您的账号存在安全风险
抱歉,您的账号因涉嫌违反赏学吧购买须知被冻结。您可在“赏学吧”微信公众号中的“官网服务”-“账号解封申请”申请解封,或联系客服
微信搜一搜
赏学吧
点击打开微信