题目内容 (请给出正确答案)
[主观题]

完成JK触发器的VHDL描述,要求 (1) 语言逻辑无误,并编译正确。 (2) 要进行功能仿真验证自己的设计结果。

答案
在进程中检测到时钟clk出现上升沿后,再根据对复位reset、置位set信号的检测作出相应的处理。得到的是同步方式的复位、置位。描述程序如下, library ieee; use ieee. std_logic_1164.all; entity JKFF is port(j,k,set,reset,clk:in std_logic;q,nq:out std_logic); end JKFF; architecture watdo of JKFF is begin process(clk) variable kq:std logic: ='0'; begin if clk'event and clk = '1' then if set = '0' and reset = '1' then kq: = '0'; --同步复位 elsif set = '1' and reset = '0' then kq: = '1'; elsif j ='0' and k='1' then kq: ='0'; elsif j ='1' and k='0' then kq: ='1'; elsif j ='1' and k='1' then kq: =not kq; end if; end if; q<= kq; nq<= not kq; end process; end watdo;
如搜索结果不匹配,请 联系老师 获取答案
您可能会需要:
您的账号:,可能会需要:
您的账号:
发送账号密码至手机
发送
更多“完成JK触发器的VHDL描述,要求 (1) 语言逻辑无误,并…”相关的问题

第1题

对设计电路的逻辑功能进行验证被称为()。

A.功能仿真

B.时序仿真

C.编程验证

D.逻辑综合

点击查看答案

第2题

【填空题】对于JK触发器,若J=K,则可完成 触发器的逻辑功能。
点击查看答案

第3题

对于JK触发器,若J=K=1,则可完成()触发器的逻辑功能。

A.SR

B.D

C.T

D.T'

点击查看答案

第4题

底层VHDL实现D功能,顶层VHDL实现同步单脉冲发生器(D触发器采用同一时钟),将仿真结果以图片形式上传
点击查看答案

第5题

对于JK触发器,若J=K=1,则可完成 的逻辑功能。

A.翻转

B.置1

C.置0

D.保持

点击查看答案

第6题

JK触发器功能很强,辅以简单设计,它就能够实现以下 触发器的逻辑功能。

A.RS触发器

B.T触发器

C.T’触发器

D.D触发器

点击查看答案

第7题

JK触发器的逻辑功能描述正确的是()

A.置0、置1、保持、翻转

B.置0、置1、保持

C.翻转

D.翻转

点击查看答案

第8题

对于JK触发器,若J=K,则可完成()触发器的逻辑功能 。

A.RS

B.0

C.T

D.T'

点击查看答案

第9题

对于JK触发器,若J=K,则可完成 触发器的逻辑功能

A.RS

B.D

C.T

D.T'

点击查看答案
发送账号至手机
密码将被重置
获取验证码
发送
温馨提示
该问题答案仅针对搜题卡用户开放,请点击购买搜题卡。
马上购买搜题卡
我已购买搜题卡, 登录账号 继续查看答案
重置密码
确认修改
温馨提示
每个试题只能免费做一次,如需多次做题,请购买搜题卡
立即购买
稍后再说
警告:系统检测到您的账号存在安全风险

为了保护您的账号安全,请在“赏学吧”公众号进行验证,点击“官网服务”-“账号验证”后输入验证码“”完成验证,验证成功后方可继续查看答案!

微信搜一搜
赏学吧
点击打开微信
警告:系统检测到您的账号存在安全风险
抱歉,您的账号因涉嫌违反赏学吧购买须知被冻结。您可在“赏学吧”微信公众号中的“官网服务”-“账号解封申请”申请解封,或联系客服
微信搜一搜
赏学吧
点击打开微信